2 nm process

Semiconductor manufacturing process From Wikipedia, the free encyclopedia

In semiconductor manufacturing, the 2 nm process is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the 3 nm process node.

The term "2 nanometer", or alternatively "20 angstrom" (a term used by Intel), has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by the Institute of Electrical and Electronics Engineers (IEEE), a "2.1 nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers.[1]

More information Process, Gate pitch ...
ProcessGate pitchMetal pitchYear
7 nm60 nm40 nm2018
5 nm51 nm30 nm2020
3 nm48 nm24 nm2022
2 nm45 nm20 nm2025
1 nm42 nm16 nm2027
Close

As such, 2 nm is used primarily as a marketing term by the semiconductor industry to refer to a new, improved generation of chips in terms of increased transistor density (a higher degree of miniaturization), increased speed, and reduced power consumption compared to the previous 3 nm node generation.[2][3]

TSMC began risk production of its 2 nm process in July 2024, with mass production planned for the second half of 2025,[4][5] and Samsung plans to start production in 2025.[6] Intel initially forecasted production in 2024 but scrapped its 2 nm node in favor of the smaller 18 angstrom (18A) node.[7]

Background

Summarize
Perspective

By 2018, a number of transistor architectures had been proposed for the eventual replacement of FinFET, most of which were based on the concept of GAAFET:[8] horizontal and vertical nanowires, horizontal nanosheet transistors[9][10] (Samsung MBCFET, Intel Nanoribbon), vertical FET (VFET) and other vertical transistors,[11][12] complementary FET (CFET), stacked FET, several kinds of horizontal gate-all-around transistors such as nano-ring, hexagonal wire, square wire, and round wire gate-all-around transistors[13] and negative-capacitance FET (NC-FET) which uses drastically different materials.[14]

In late 2018, TSMC chairman Mark Liu predicted chip scaling would continue to 3 nm and 2 nm nodes;[15] however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond 3 nm could become viable.[16][needs update] TSMC began research on 2 nm in 2019[17]—expecting to transition from FinFET to GAAFET.[18][needs update] In July 2021, TSMC received governmental approval to build its 2 nm plant. In August 2020, it began building a research and development lab for 2 nm technology in Hsinchu, expected to become partially operational by 2021.[19][needs update] In September 2020, TSMC confirmed this and stated that it could also install production at Taichung depending on demand.[20][needs update] According to the Taiwan Economic Daily (2020), expectations were for high yield risk production in late 2023.[21][22][needs update] According to Nikkei, the company at that time expected to have been installing production equipment for 2 nm by 2023.[23][needs update]

Intel's 2019 roadmap scheduled potentially equivalent 3 nm and 2 nm nodes for 2025 and 2027, respectively, and in December 2019 announced plans for 1.4 nm production in 2029.[24][needs update]

At the end of 2020, seventeen European Union countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as 2 nm, as well as designing and manufacturing custom processors, assigning up to €145 billion in funds.[25][26][needs update]

In May 2021, IBM announced it had produced chips with 2 nm-class GAAFET transistors using three silicon layer nanosheets with a gate length of 12 nm.[27][28][notes 1]

In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their 2 nm process node called "Intel 20A",[notes 2] with "A" referring to an angstrom, a unit equivalent to 0.1 nanometers.[29] At the same time, they introduced a new process node naming scheme that aligned their product names with similar designations from their main competitors.[30] Intel's 20A node was at that time projected to have been their first to move from FinFET to Gate-All-Around transistors (GAAFET); Intel's version was named 'RibbonFET'.[30] Their 2021 roadmap scheduled the Intel 20A node for volume production in 2024 and Intel 18A for 2025.[29][30][needs update]

In October 2021, at Samsung Foundry Forum 2021, Samsung announced it would start mass production with its MBCFET (multi-bridge channel FET, Samsung's version of GAAFET) 2 nm process in 2025.[31][needs update]

In April 2022, TSMC announced its GAAFET N2 process technology would enter risk production phase at the end of 2024 and production phase in 2025.[4] In July 2022, TSMC announced that its N2 process technology was expected to feature backside power delivery and was expected to offer 10–15% higher performance at iso power or 20–30% lower power at iso performance and over 20% higher transistor density compared to N3E.[32][needs update]

In July 2022, Samsung made a number of disclosures regarding the company's previously forthcoming process technology called "2GAP" (2nm Gate All-around Production): the process previously remained on track for 2025 launch into mass production; number of nanosheets was projected to increase from 3 in "3GAP" to 4; the company worked on several improvements of metallization, namely "single-grain metal" for low-resistance vias and direct-etched metal interconnect planned for 2GAP and beyond.[33][needs update]

In August 2022, a consortium of Japanese companies funded a new venture with government support called Rapidus for manufacturing of 2 nm chips. Rapidus signed agreements with IMEC[34] and IBM[35] in December 2022.[needs update]

In April 2023, at its Technology Symposium, TSMC introduced two more processes of its 2 nm technology platform: "N2P" featuring backside power delivery and scheduled for 2026, and "N2X" for high-performance applications. It was also revealed that the ARM Cortex-A715 core fabbed on the N2 process using a high-performance standard library was 16.4% faster at the same power, saved 37.2% of power at the same speed, or was ~10% faster and saved ~20% of power simultaneously at the same voltage (0.8 V) compared to the core fabbed on N3E using 3-2 fin library.[36]

In September 2024, Intel announced they would no longer be moving forward with their 20A process node, instead focusing on the development of 18A. Intel projected that avoiding ramping production of 20A could save over half a billion dollars. Intel noted that they'd successfully implemented RibbonFET gate-all-around (GAA) architecture and PowerVia backside power delivery in their 20A process, accelerating 18A development. Intel's Arrow Lake family of processors, which were meant to use Intel 20A, will instead have dies sourced from "external partners" and packaged by Intel.[7][37]

2 nm process nodes

More information TSMC, Intel ...
Samsung[38][33][39][40][41] TSMC Intel
Process name SF2SF2PSF2XSF2Z N2N2PN2X 20A18A
Transistor type MBCFET GAAFET RibbonFET
Transistor density (MTr/mm2) 231[41]Un­knownUn­knownUn­known 313[41]Un­knownUn­known Un­known238[41]
SRAM bit-cell size (μm2) Un­knownUn­knownUn­knownUn­known 0.0175 μm²[42]Un­knownUn­known Un­known0.021 μm² [43]
Transistor gate pitch (nm) Un­knownUn­knownUn­knownUn­known Un­knownUn­knownUn­known Un­knownUn­known
Interconnect pitch (nm) Un­knownUn­knownUn­knownUn­known Un­knownUn­knownUn­known Un­knownUn­known
Release status 2025 volume production[31]2026 volume production2026 volume production2027 volume production 2024 H2 risk production[5]
2025 H2 volume production[44]
2026 H2 volume production[44]2026 H2 volume production[44] 2024 H1 risk production[45]
2024 volume production[30][29]
Canceled 2024[37]
2025 H1 risk production[46]
2025 H2 volume production[46]
Close

Beyond 2 nm

Summarize
Perspective


In July 2021, Intel reported that they planned 18A production for 2025.[29] Intel's February 2022 roadmap added that 18A was previously expected to have delivered 10% improvement in performance per watt compared to Intel 20A.[7] Intel's August 2024 newsroom announcement further indicated that the 18A process should be manufacturing-ready for 2025 H1.[47]

In December 2021, Vertical-Transport FET (VTFET) CMOS logic transistor design with a vertical nanosheet was demonstrated at sub-45 nm gate pitch.[48]

In May 2022, IMEC presented a process technology roadmap which extends the current biannual cadence of node introduction and square-root-of-two node naming rule to 2036. The roadmap ends with process node "A2" (meant to represent a 2 angstrom node), named by analogy with TSMC's naming scheme to be introduced by then.[49]

Apart from the expected shrinking of transistor structures and interconnects, innovations forecasted by IMEC were as follows:[needs update]

  • transistor architecture (forksheet FET, CFET, CFET with atomic (2D material) channel);
  • deployment of high-NA (0.55) EUV tools with the first $400 million tool to be completed at ASML in 2023, and the first production tool was shipped to and installed at Intel in 2024;[50]
  • further reduction of standard cell height (eventually to "less than 4" tracks);
  • back-side power distribution, buried power rails;
  • new materials (ruthenium for metallization (interconnects), graphene, WS2 monolayer for atomic channel);
  • new manufacturing techniques (subtractive metallization, direct metal etch);
  • air gaps to further reduce relative permittivity of intermetal dielectric and, therefore, interconnect capacitance;
  • IC design innovations (2.5D chiplets, 3D interconnect), more advanced EDA tools.

In September 2022, Samsung presented their future business goals, which at that time included an aim to mass-produce 1.4 nm by 2027.[51]

As of 2023, Intel, TSMC and Samsung have all demonstrated CFET transistors. These transistors are made up of two stacked horizontal nanosheet transistors, one transistor is of the p-type (a pFET transistor) and the other transistor is of the n-type (an nFET transistor).[52]

Notes

  1. 12 nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5 nm" process node:[1]
  2. Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.[29]

References

Further reading

Loading related searches...

Wikiwand - on

Seamless Wikipedia browsing. On steroids.