Top Qs
Timeline
Chat
Perspective

Intel Core

Line of CPUs by Intel From Wikipedia, the free encyclopedia

Intel Core
Remove ads

Intel Core is a line of multi-core (with the exception of Core Solo and Core 2 Solo) central processing units (CPUs) for midrange, embedded, workstation, high-end and enthusiast computer markets marketed by Intel Corporation. These processors displaced the existing mid- to high-end Pentium processors at the time of their introduction, moving the Pentium to the entry level. Identical or more capable versions of Core processors are also sold as Xeon processors for the server and workstation markets.

Quick Facts General information, Launched ...
Thumb
A flagship model, the Intel Core i9-14900K

Core was launched in January 2006 as a mobile-only series, consisting of single- and dual-core models. It was then succeeded later in July by the Core 2 series, which included both desktop and mobile processors with up to four cores, and introduced 64-bit support.

Since 2008, Intel began introducing the Core i3, Core i5, Core i7 and Core i9 lineup of processors, succeeding Core 2.

A new naming scheme debuted in 2023, consisting of Core 3, Core 5, and Core 7 for mainstream processors, and Core Ultra 5, Core Ultra 7, and Core Ultra 9 for "premium" high-end processors.

Remove ads

Overview

Summarize
Perspective

Although Intel Core is a brand that promises no internal consistency or continuity, the processors within this family have been, for the most part, broadly similar.

The first products receiving this designation were the Core Solo and Core Duo Yonah processors for mobile from the Pentium M design tree, fabricated at 65 nm and brought to market in January 2006. These are substantially different in design than the rest of the Intel Core product group, having derived from the Pentium Pro lineage that predated Pentium 4.

The first Intel Core desktop processor—and typical family member—came from the Conroe iteration, a 65 nm dual-core design brought to market in July 2006, based on the Intel Core microarchitecture with substantial enhancements in micro-architectural efficiency and performance, outperforming Pentium 4 across the board (or near to it), while operating at drastically lower clock rates. Maintaining high instructions per cycle (IPC) on a deeply pipelined and resourced out-of-order execution engine has remained a constant fixture of the Intel Core product group ever since.

The new substantial bump in microarchitecture came with the introduction of the 45 nm Bloomfield desktop processor in November 2008 on the Nehalem architecture, whose main advantage came from redesigned I/O and memory systems featuring the new Intel QuickPath Interconnect and an integrated memory controller supporting up to three channels of DDR3 memory.

Subsequent performance improvements have tended toward making additions rather than profound changes, such as adding the Advanced Vector Extensions (AVX) instruction set extensions to Sandy Bridge, first released on 32 nm in January 2011. Time has also brought improved support for virtualization and a trend toward higher levels of system integration and management functionality (and along with that, increased performance) through the ongoing evolution of facilities such as Intel Active Management Technology (iAMT).

As of 2017, the Core brand comprised four product lines – the entry level i3, the mainstream i5, the high-end i7, and the "enthusiast" i9. Core i7 was introduced in 2008, followed by i5 in 2009, and i3 in 2010. The first Core i9 models were released in 2017.

In 2023, Intel announced that it would drop the "i" moniker from their Processor
branding, making it "Core 3/5/7/9". The company would introduce the "Ultra" branding for high-end processors as well.[1] The new naming scheme debuted with the launch of Raptor Lake-U Refresh and Meteor Lake processors in 2024, using the "Core 3/5/7" branding for mainstream processors and "Core Ultra 5/7/9" branding for "premium" high-end processors.[2][3]

More information Microarchitecture, Core ...
  1. Rocket Lake based on Cypress Cove is a CPU microarchitecture, a variant of Sunny Cove microarchitecture designed for 10 nm, backported to 14 nm.
  2. 1.25 MB in client
  3. 56 unified in Ivy Bridge
More information Brand, Desktop ...
Remove ads

Core series

Summarize
Perspective

Core

The original Core brand refers to Intel's 32-bit mobile dual-core x86 CPUs, which were derived from the Pentium M branded processors. The processor family used an enhanced version of the P6 microarchitecture. It emerged in parallel with the NetBurst microarchitecture (Intel P68) of the Pentium 4 brand, and was a precursor of the 64-bit Core microarchitecture of Core 2 branded CPUs. The Core brand had two branches: the Duo (dual-core) and Solo (single-core, which replaced the Pentium M brand of single-core mobile processor).

Intel launched the Core brand on January 6, 2006, with the release of the 32-bit Yonah CPU  Intel's first dual-core mobile (low-power) processor. Its dual-core layout closely resembled two interconnected Pentium M branded CPUs packaged as a single die (piece) silicon chip (IC). Hence, the 32-bit microarchitecture of Core branded CPUs  contrary to its name  had more in common with Pentium M branded CPUs than with the subsequent 64-bit Core microarchitecture of Core 2 branded CPUs. Despite a major rebranding effort by Intel starting January 2006, some companies continued to market computers with the Yonah core marked as Pentium M.

The Core series is also the first Intel processor used in an Apple Macintosh computer. The Core Duo was the CPU for the first generation MacBook Pro, while the Core Solo appeared in Apple's Mac Mini line. Core Duo signified the beginning of Apple's shift to Intel processors across the entire Mac line.

In 2007, Intel began branding the Yonah CPUs intended for mainstream mobile computers as Pentium Dual-Core, not to be confused with the desktop 64-bit Core microarchitecture CPUs also branded as Pentium Dual-Core.

September 2007 and January 4, 2008 marked the discontinuation of a number of Core branded CPUs including several Core Solo, Core Duo, Celeron and one Core 2 Quad products.[19][20]

Core Solo

Intel Core Solo[21] (product code 80538) uses the same two-core die as the Core Duo, but features only one active core. Depending on demand, Intel may also simply disable one of the cores to sell the chip at the Core Solo price—this requires less effort than launching and maintaining a separate line of CPUs that physically only have one core. Intel had used the same strategy previously with the 486 CPU in which early 486SX CPUs were in fact manufactured as 486DX CPUs but with the FPU disabled.

More information Codename, Brand name (list) ...

Core Duo

Intel Core Duo[22] (product code 80539) consists of two cores on one die, a 2 MB L2 cache shared by both cores, and an arbiter bus that controls both L2 cache and FSB (front-side bus) access.

More information Codename, Brand name (list) ...

Core 2

The successor to Core is the mobile version of the Core 2 line of processors based on the Core microarchitecture,[23] released on July 27, 2006. The release of the mobile version of Intel Core 2 marks the reunification of Intel's desktop and mobile product lines as Core 2 processors were released for desktops and notebooks, unlike the first Intel Core CPUs that were targeted only for notebooks (although they were used in some small form factor and all-in-one desktops, like the iMac and the Mac Mini).

Unlike the original Core, Intel Core '2's are 64-bit processors, supporting Intel Extended Memory 64 Technology (EM64T). Another difference between the original Core Duo and the new Core 2 Duo is an increase in the amount of level 2 cache. The new Core 2 Duo has tripled the amount of on-board cache to 6 MB. Core 2 also introduced a quad-core performance variant to the single- and dual-core chips, branded Core 2 Quad, as well as an enthusiast variant, Core 2 Extreme. All three chips are manufactured at a 65 nm lithography, and in 2008, a 45 nm lithography and support front side bus speeds ranging from 533 MT/s to 1.6 GT/s. In addition, the 45 nm die shrink of the Core microarchitecture adds SSE4.1 support to all Core 2 microprocessors manufactured at a 45 nm lithography, therefore increasing the calculation rate of the processors.

Core 2 Solo

The Core 2 Solo,[24] introduced in September 2007, is the successor to the Core Solo and is available only as an ultra-low-power mobile processor with 5.5 Watt thermal design power. The original U2xxx series "Merom-L" used a special version of the Merom chip with CPUID number 10661 (model 22, stepping A1) that only had a single core and was also used in some Celeron processors. The later SU3xxx are part of Intel's CULV range of processors in a smaller μFC-BGA 956 package but contain the same Penryn chip as the dual-core variants, with one of the cores disabled during manufacturing.

More information Codename, Brand name (list) ...

Core 2 Duo

Thumb
Inside of a Sony VAIO laptop (VGN-C140G)

The majority of the desktop and mobile Core 2 processor variants are Core 2 Duo[25][26] with two processor cores on a single Merom, Conroe, Allendale, Penryn, or Wolfdale chip. These come in a wide range of performance and power consumption, starting with the relatively slow ultra-low-power Uxxxx (10 W) and low-power Lxxxx (17 W) versions, to the more performance oriented Pxxxx (25 W) and Txxxx (35 W) mobile versions and the Exxxx (65 W) desktop models. The mobile Core 2 Duo processors with an 'S' prefix in the name are produced in a smaller μFC-BGA 956 package, which allows building more compact laptops.

Within each line, a higher number usually refers to a better performance, which depends largely on core and front-side bus clock frequency and amount of second level cache, which are model-specific. Core 2 Duo processors typically use the full L2 cache of 2, 3, 4, or 6 MB available in the specific stepping of the chip, while versions with the amount of cache reduced during manufacturing are sold for the low-end consumer market as Celeron or Pentium Dual-Core processors. Like those processors, some low-end Core 2 Duo models disable features such as Intel Virtualization Technology.

Core 2 Quad

Core 2 Quad[27][28] processors are multi-chip modules consisting of two dies similar to those used in Core 2 Duo, forming a quad-core processor. This allows twice the performance of a dual-core processors at the same clock frequency in scenarios that take advantage of multi-threading.

Initially, all Core 2 Quad models were versions of Core 2 Duo desktop processors, Kentsfield derived from Conroe and Yorkfield from Wolfdale, but later Penryn-QC was added as a high-end version of the mobile dual-core Penryn.

The Xeon 32xx and 33xx processors are mostly identical versions of the desktop Core 2 Quad processors and can be used interchangeably.

More information Codename, Brand name (list) ...

Core 2 Extreme

Core 2 Extreme processors[29][30] are enthusiast versions of Core 2 Duo and Core 2 Quad processors, usually with a higher clock frequency and an unlocked clock multiplier, which makes them especially attractive for overclocking. This is similar to earlier Pentium D processors labeled as Extreme Edition. Core 2 Extreme processors were released at a much higher price than their regular version, often $999 or more.

More information Codename, Brand name (list) ...
Remove ads

Core i3/i5/i7/i9 series

Summarize
Perspective

Intel introduced a new tier-based naming scheme for its Core processors with the launch of the Nehalem microarchitecture in November 2008.[31] Unlike earlier branding, these names no longer reflected specific technical features such as core count, but instead indicated relative performance levels: entry-level (i3), mid-range (i5), and high-end (i7).[32] The tiers corresponded to the company's prior Intel Processor Rating system,[33] which assigned three, four, and five stars to the Core lines, above the one- and two-star ratings for Celeron and Pentium, respectively.[34] In 2017, Intel added a fourth tier with the introduction of the Core i9, positioned above the i7 as a premium high-performance option.

1st generation

The Nehalem microarchitecture was introduced in November 2008. Common features of all Nehalem based processors include an integrated DDR3 memory controller as well as QuickPath Interconnect or PCI Express and Direct Media Interface on the processor replacing the aging quad-pumped Front Side Bus used in all earlier Core processors. All these processors have 256 KB L2 cache per core, plus up to 12 MB shared L3 cache. Because of the new I/O interconnect, chipsets and mainboards from previous generations can no longer be used with Nehalem-based processors.

Intel intended the Core i3 as the new low end of the performance processor line from Intel, following the retirement of the Core 2 brand.[35][36]

The first Core i3 processors were launched on January 7, 2010.[37]

The first Nehalem based Core i3 was Clarkdale-based, with an integrated GPU and two cores.[38] The same processor is also available as Core i5 and Pentium, with slightly different configurations.

The Core i3-3xxM processors are based on Arrandale, the mobile version of the Clarkdale desktop processor. They are similar to the Core i5-4xx series but running at lower clock speeds and without Turbo Boost.[39] According to an Intel FAQ they do not support Error Correction Code (ECC) memory.[40] According to motherboard manufacturer Supermicro, if a Core i3 processor is used with a server chipset platform such as Intel 3400/3420/3450, the CPU supports ECC with UDIMM.[41] When asked, Intel confirmed that, although the Intel 5 series chipset supports non-ECC memory only with the Core i5 or i3 processors, using those processors on a motherboard with 3400 series chipsets it supports the ECC function of ECC memory.[42] A limited number of motherboards by other companies also support ECC with Intel Core ix processors; the Asus P8B WS is an example, but it does not support ECC memory under Windows non-server operating systems.[43]

More information Codename, Brand name (list) ...

Lynnfield were the first Core i5 processors using the Nehalem microarchitecture, introduced on September 8, 2009, as a mainstream variant of the earlier Core i7.[44][45] Lynnfield Core i5 processors have an 8 MB L3 cache, a DMI bus running at 2.5 GT/s and support for dual-channel DDR3-800/1066/1333 memory and have Hyper-threading disabled. The same processors with different sets of features (Hyper-threading and other clock frequencies) enabled are sold as Core i7-8xx and Xeon 3400-series processors, which should not be confused with high-end Core i7-9xx and Xeon 3500-series processors based on Bloomfield. A new feature called Turbo Boost Technology was introduced which maximizes speed for demanding applications, dynamically accelerating performance to match the workload.

After Nehalem received a 32 nm Westmere die shrink, Arrandale, the dual-core mobile Core i5 processors and its desktop counterpart Clarkdale was introduced in January 2010, together with Core i7-6xx and Core i3-3xx processors based on the same architecture. Arrandale processors have integrated graphics capability. Core i3-3xx does not support for Turbo Boost, L3 cache in Core i5-5xx processors is reduced to 3 MB, while the Core i5-6xx uses the full cache,[46] Clarkdale is sold as Core i5-6xx, along with related Core i3 and Pentium processors. It has Hyper-Threading enabled and the full 4 MB L3 cache.[47]

According to Intel "Core i5 desktop processors and desktop boards typically do not support ECC memory",[48] but information on limited ECC support in the Core i3 section also applies to Core i5 and i7.[citation needed]

More information Codename, Brand name (list) ...

The Core i7 brand targets the business and high-end consumer markets for both desktop and laptop computers,[50] and is distinguished from the Core i3 (entry-level consumer), Core i5 (mainstream consumer), and Xeon (server and workstation) brands.

Introduced in late 2008, Bloomfield was the first Core i7 processors based on the Nehalem architecture.[51][52][53][54] The following year, Lynnfield desktop processors and Clarksfield mobile processors brought new quad-core Core i7 models based on the said architecture.[55]

After Nehalem received a 32 nm Westmere die shrink, Arrandale dual-core mobile processors were introduced in January 2010, followed by Core i7's first six-core desktop processor Gulftown on March 16, 2010. Both the regular Core i7 and the Extreme Edition are advertised as five stars in the Intel Processor Rating.

The first-generation Core i7 uses two different sockets; LGA 1366 designed for high-end desktops and servers, and LGA 1156 used in low- and mid-end desktops and servers. In each generation, the highest-performing Core i7 processors use the same socket and QPI-based architecture as the medium-end Xeon processors of that generation, while lower-performing Core i7 processors use the same socket and PCIe/DMI/FDI architecture as the Core i5.

"Core i7" is a successor to the Intel Core 2 brand.[56][57][58][59] Intel representatives stated that they intended the moniker Core i7 to help consumers decide which processor to purchase as Intel releases newer Nehalem-based products in the future.[60]

More information Code name, Brand name ...

2nd generation

In early 2011, Intel introduced a new microarchitecture named Sandy Bridge. This is the second generation of the Core processor microarchitecture. It kept all the existing brands from Nehalem, including Core i3/i5/i7, and introduced new model numbers. The initial set of Sandy Bridge processors includes dual- and quad-core variants, all of which use a single 32 nm die for both the CPU and integrated GPU cores, unlike the earlier microarchitectures. All Core i3/i5/i7 processors with the Sandy Bridge microarchitecture have a four-digit model number. With the mobile version, the thermal design power can no longer be determined from a one- or two-letter suffix but is encoded into the CPU number. Starting with Sandy Bridge, Intel no longer distinguishes the code names of the processor based on number of cores, socket or intended usage; they all use the same code name as the microarchitecture itself.

Ivy Bridge is the codename for Intel's 22 nm die shrink of the Sandy Bridge microarchitecture based on tri-gate ("3D") transistors, introduced in April 2012.

Released on January 20, 2011, the Core i3-2xxx line of desktop and mobile processors is a direct replacement of the 2010 "Clarkdale" Core i3-5xx and "Arrandale" Core i3-3xxM models, based on the new microarchitecture. While they require new sockets and chipsets, the user-visible features of the Core i3 are largely unchanged, including the lack of support for Turbo Boost and AES-NI. Unlike the Sandy Bridge-based Celeron and Pentium processors, the Core i3 line does support the new Advanced Vector Extensions. This particular processor is the entry-level processor of this new series of Intel processors.

More information Codename, Brand name (list) ...

Thumb
A Core i5-2500K. The K suffix indicates an unlocked clock multiplier, which allows for easier overclocking.

In January 2011, Intel released new quad-core Core i5 processors based on the "Sandy Bridge" microarchitecture at CES 2011. New dual-core mobile processors and desktop processors arrived in February 2011.

The Core i5-2xxx line of desktop processors are mostly quad-core chips, with the exception of the dual-core Core i5-2390T, and include integrated graphics, combining the key features of the earlier Core i5-6xx and Core i5-7xx lines. The suffix after the four-digit model number designates unlocked multiplier (K), low-power (S) and ultra-low-power (T).

The desktop CPUs now all have four non-SMT cores (like the i5-750), with the exception of the i5-2390T. The DMI bus runs at 5 GT/s.

The mobile Core i5-2xxxM processors are all dual-core and hyper-threaded chips like the previous Core i5-5xxM series, and share most of the features with that product line.

More information Codename, Brand name (list) ...

The Core i7 brand was the high-end for Intel's desktop and mobile processors, until the announcement of the i9 in 2017. Its Sandy Bridge models feature the largest amount of L3 cache and the highest clock frequency. Most of these models are very similar to their smaller Core i5 siblings. The quad-core mobile Core i7-2xxxQM/XM processors follow the previous "Clarksfield" Core i7-xxxQM/XM processors, but now also include integrated graphics.

More information Codename, Brand name (list) ...

3rd generation

Ivy Bridge is the codename for a "third generation" line of processors based on the 22 nm manufacturing process developed by Intel. Mobile versions of the CPU were released in April 2012 following with desktop versions in September 2012.

The Ivy Bridge-based Core-i3-3xxx line is a minor upgrade to 22 nm process technology and better graphics.

More information Codename, Brand name (list) ...

More information Codename, Brand name (list) ...

More information Codename, Brand name (list) ...

4th generation

Haswell is the fourth generation Core processor microarchitecture, and was released in 2013.

More information Codename, Brand name (list) ...

More information Codename, Brand name (list) ...

More information Codename, Brand name (list) ...

5th generation

Broadwell is the fifth generation Core processor microarchitecture, and was released by Intel on September 6, 2014, and began shipping in late 2014. It is the first to use a 14 nm chip.[62] Additionally, mobile processors were launched in January 2015[63] and Desktop Core i5 and i7 processors were released in June 2015.[64]

Desktop processor (DT-Series)

More information Processor branding, Model (list) ...

Mobile processors (U-Series)

More information Processor branding, Model (list) ...

Mobile Processors (Y-Series)

More information Processor branding, Model (list) ...

6th generation

Broadwell microarchitecture

More information Processor branding, Model (list) ...

Skylake microarchitecture

Skylake is the sixth generation Core processor microarchitecture, and was launched in August 2015. Being the successor to the Broadwell line, it is a redesign using the same 14 nm manufacturing process technology; however the redesign has better CPU and GPU performance and reduced power consumption. Intel also disabled overclocking non -K processors.

More information Processor branding, Model ...
More information Processor branding, Model ...
More information Processor branding, Model ...

7th generation

Skylake microarchitecture

More information Processor branding, Model ...

Kaby Lake

Kaby Lake is the codename for the seventh generation Core processor, and was launched in October 2016 (mobile chips)[65] and January 2017 (desktop chips).[66] With the latest generation of microarchitecture, Intel decided to produce Kaby Lake processors without using their "tick–tock" manufacturing and design model.[67] Kaby Lake features the same Skylake microarchitecture and is fabricated using Intel's 14 nanometer manufacturing process technology.[67]

Built on an improved 14 nm process (14FF+), Kaby Lake features faster CPU clock speeds and Turbo frequencies. Beyond these process and clock speed changes, little of the CPU architecture has changed from Skylake, resulting in identical IPC.

Kaby Lake features a new graphics architecture to improve performance in 3D graphics and 4K video playback. It adds native High-bandwidth Digital Content Protection 2.2 support, along with fixed function decode of H.264/MPEG-4 AVC, High Efficiency Video Coding Main and Main10/10-bit, and VP9 10-bit and 8-bit video. Hardware encode is supported for H.264/MPEG-4 AVC, HEVC Main10/10-bit, and VP9 8-bit video. VP9 10-bit encode is not supported in hardware. OpenCL 2.1 is now supported.

Kaby Lake is the first Core architecture to support hyper-threading for the Pentium-branded desktop CPU SKU. Kaby Lake also features the first overclocking-enabled i3-branded CPU.

Features common to desktop Kaby Lake CPUs:

  • LGA 1151 socket
  • DMI 3.0 and PCIe 3.0 interfaces
  • Dual channel memory support in the following configurations: DDR3L-1600 1.35 V (32 GiB maximum) or DDR4-2400 1.2 V (64 GiB maximum)
  • A total of 16 PCIe lanes
  • The Core-branded processors support the AVX2 instruction set. The Celeron and Pentium-branded ones support only SSE4.1/4.2
  • 350 MHz base graphics clock rate
  • No L4 cache (eDRAM).
  • A release date of January 3, 2017
More information Processor branding, Model ...
More information Processor branding, Model ...
More information Processor branding, Model ...
More information Processor branding, Model ...

Kaby Lake-X processors are modified versions of Kaby Lake-S processors that fit into the LGA 2066 socket. However, they can't take advantage of the unique features of the platform.

More information Processor branding, Model ...

8th generation

Kaby Lake Refresh

More information Processor branding, Model ...

Coffee Lake microarchitecture

Coffee Lake is a codename for the eighth generation Intel Core family and was launched in October 2017. For the first time in the ten-year history of Intel Core processors, the Coffee Lake generation features an increase in core counts across the desktop lineup of processors, a significant driver of improved performance versus previous generations despite similar per-clock performance.

More information Kaby Lake (7th Generation), Coffee Lake (8th Generation) ...

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

Coffee Lake features largely the same CPU core and performance per MHz as Skylake/Kaby Lake.[68][69] Features specific to Coffee Lake include:

  • Following similar refinements to the 14 nm process in Skylake and Kaby Lake, Coffee Lake is the third 14 nm process refinement ("14nm++") and features increased transistor gate pitch for a lower current density and higher leakage transistors which allows higher peak power and higher frequency at the expense of die area and idle power.
  • Coffee Lake will be used in conjunction with the 300-series chipset and is incompatible with the older 100- and 200-series chipsets.[70][71]
  • Increased L3 cache in accordance to the number of cores
  • Increased turbo clock speeds across i5 and i7 CPUs models (increased by up to 200 MHz)
  • Increased iGPU clock speeds by 50 MHz
  • DDR4 memory support updated for 2666 MHz (for i5 and i7 parts) and 2400 MHz (for i3 parts); DDR3 memory is no longer supported
More information Processor branding, Model ...

* Processors Core i3-8100 and Core i3-8350K with stepping B0 actually belong to "Kaby Lake-S" family

More information Processor branding, Model ...
More information Processor branding, Model ...

Amber Lake microarchitecture

Amber Lake is a refinement over the low power Mobile Kaby Lake CPUs.

More information Processor branding, Model ...

Whiskey Lake microarchitecture

Whiskey Lake is Intel's codename for the third 14 nm Skylake process-refinement, following Kaby Lake Refresh and Coffee Lake. Intel announced low power mobile Whiskey Lake CPUs availability on August 28, 2018.[73][74] It has not yet been advertised whether this CPU architecture contains hardware mitigations for Meltdown/Spectre class vulnerabilities—various sources contain conflicting information.[75][76][74][77] Unofficially it was announced that Whiskey Lake has hardware mitigations against Meltdown and L1TF while Spectre V2 requires software mitigations as well as microcode/firmware update.[78][79][80][81]

More information Processor branding, Model ...

Cannon Lake microarchitecture

Cannon Lake (formerly Skymont) is Intel's codename for the 10-nanometer die shrink of the Kaby Lake microarchitecture. As a die shrink, Cannon Lake is a new process in Intel's "process–architecture–optimization" execution plan as the next step in semiconductor fabrication.[82] Cannon Lake are the first mainstream CPUs to include the AVX-512 instruction set. In comparison to the previous generation AVX2 (AVX-256), the new generation AVX-512 most notably provides double the width of data registers and double the number of registers. These enhancements would allow for twice the number of floating point operations per register due to the increased width in addition to doubling the overall number of registers, resulting in theoretical performance improvements of up to four times the performance of AVX2.[83][84]

At CES 2018, Intel announced that they had started shipping mobile Cannon Lake CPUs at the end of 2017 and that they would ramp up production in 2018.[85][86][87] No further details were disclosed.

More information Processor branding, Model ...

9th generation

Skylake microarchitecture

The 9th generation Coffee Lake CPUs are updated versions of previous Skylake X-Series CPUs with clockspeed improvements.

More information Processor branding, Model ...

Coffee Lake Refresh microarchitecture

The 9th generation Coffee Lake CPUs were released in the fourth quarter of 2018. They include hardware mitigations against certain Meltdown/Spectre vulnerabilities.[90][91]

For the first time in Intel consumer CPU history, these CPUs support up to 128 GB RAM.[92]

More information 8th Generation, 9th Generation ...

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

Even though the F suffix CPUs lack an integrated GPU, Intel set the same price for these CPUs as their featureful counterparts.[93]

More information Processor branding, Model ...

* various reviews show that the Core i9 9900K CPU may consume over 140 W under load. The Core i9 9900KS may consume even more.[95][96][97][98]

More information Processor branding, Model ...

10th generation

Cascade Lake microarchitecture

Cascade Lake X-Series CPUs are the 10th generation versions of the previous Skylake X-Series CPUs. They offer minor clockspeed improvements and a highly reduced price.

More information Processor branding, Model ...

Ice Lake microarchitecture

Ice Lake is codename for Intel's 10th generation Intel Core processors, representing an enhancement of the 'architecture' of the preceding generation Kaby Lake/Cannon Lake processors (as specified in Intel's process–architecture–optimization execution plan). As the successor to Cannon Lake, Ice Lake uses Intel's newer 10 nm+ fabrication process, and is powered by the Sunny Cove microarchitecture.

Ice Lake are the first Intel CPUs to feature in-silicon mitigations for the hardware vulnerabilities discovered in 2017, Meltdown and Spectre. These side-channel attacks exploit branch prediction's use of speculative execution. These exploits may cause the CPU to reveal cached private information which the exploiting process is not intended to be able to access as a form of timing attack.[citation needed]

More information Processor branding, Model ...
More information Processor branding, Model ...

Comet Lake microarchitecture

Comet Lake is Intel's codename for the fourth 14 nm Skylake process-refinement, following Whiskey Lake. Intel announced low power mobile Comet Lake CPUs availability on August 21, 2019.[99]

More information 9th generation, 10th generation ...
More information Processor branding, Model ...
More information Processor branding, Model ...
More information Processor branding, Model ...

Comet Lake Refresh microarchitecture

More information Processor branding, Model ...

Amber Lake Refresh microarchitecture

More information Processor branding, Model ...

11th generation

Tiger Lake

Launched on September 2, 2020.

  • All models support DDR4-3200 memory
  • All models support 20 reconfigurable PCI Express 4.0 lanes, allowing x16 Gen 4 link for discrete GPU and x4 Gen 4 link for M.2 SSDs
Mobile processors (Tiger Lake-H)
More information Processor branding, Model ...
Mobile processors (Tiger Lake-H35)
  • All models support DDR4-3200 or LPDDR4X-4267 memory
More information Processor branding, Model ...
Mobile processors (UP3-class)
More information Processor branding, Model ...
More information Processor branding, Model ...
Mobile processors (UP4-class)
More information Processor branding, Model ...
Desktop/tablet processors (Tiger Lake-B)
  • Socket: FCBGA1787, a BGA socket, thus these CPUs are meant only for system integrators
  • Intel Xe UHD Graphics
  • Up to 128 GB DDR4-3200 memory
  • Was initially incorrectly listed as having a 5.3 GHz TVB boost frequency.[101]
More information Processor branding, Model ...

Rocket Lake microarchitecture

Rocket Lake is a codename for Intel's desktop x86 chip family based on the new Cypress Cove microarchitecture, a variant of Sunny Cove (used by Intel's Ice Lake mobile processors) backported to the older 14 nm process.[102] The chips are marketed as "Intel 11th generation Core". Launched March 30, 2021.

Desktop processors
  • All CPUs listed below support DDR4-3200 natively. The Core i9 K/KF processors enable a 1:1 ratio of DRAM to memory controller by default at DDR4-3200, whereas the Core i9 non K/KF and all other CPUs listed below enable a 2:1 ratio of DRAM to memory controller by default at DDR4-3200 and a 1:1 ratio by default at DDR4-2933.[103]
  • All CPUs support up to 128 GiB of RAM in dual channel mode
  • Core i9 CPUs (except 11900T) support Intel Thermal Velocity Boost technology
More information Processor branding, Model ...

12th generation

Alder Lake

Alder Lake is Intel's codename for the 12th generation of Intel Core processors based on a hybrid architecture utilizing Golden Cove high-performance cores and Gracemont power-efficient cores.[104]
It is fabricated using Intel's Intel 7 process, previously referred to as Intel 10 nm Enhanced SuperFin (10ESF).
Intel officially announced 12th Gen Intel Core CPUs on October 27, 2021, and was launched to the market on November 4, 2021.[105]

Desktop processors (Alder Lake-S)
  • All the CPUs support up to 128 GB of DDR4-3200 or DDR5-4800 RAM in dual channel mode.[106]
  • Some models feature integrated UHD Graphics 770, UHD Graphics 730 or UHD Graphics 710 GPU with 32/24/16 EUs and base frequency of 300 MHz.
  • By default Alder Lake CPUs are configured to run at Turbo Power at all times and Base Power is only guaranteed when P-Cores/E-cores do not exceed the base clock rate.[107]
  • Max Turbo Power: the maximum sustained (> 1 s) power dissipation of the processor as limited by current and/or temperature controls. Instantaneous power may exceed Maximum Turbo Power for short durations (≤ 10 ms). Maximum Turbo Power is configurable by system vendor and can be system specific.
  • CPUs in bold below feature ECC memory support only when paired with a motherboard based on the W680 chipset.[108]

*By default, Core i9 12900KS achieves 5.5 GHz only when using Thermal Velocity Boost[109]

More information Processor branding, Model ...
Extreme-performance Mobile Processors (Alder Lake-HX)
  • Bold indicates ECC memory support
More information Processor branding, Model ...
High-performance Mobile Processors (Alder Lake-H)
More information Processor branding, Model ...
Low Power Performance Mobile Processors (Alder Lake-P)
More information Processor branding, Model ...
Ultra Low Power Mobile Processors (Alder Lake-U)
More information Processor branding, Model ...

13th generation

Raptor Lake

Raptor Lake is Intel's codename for the 13th generation of Intel Core processors and the second generation based on a hybrid architecture.[111]
It is fabricated using an improved version of Intel's Intel 7 process.[112] Intel launched Raptor Lake on October 22, 2022.

Desktop Processors (Raptor Lake-S)
  • All CPUs support up to DDR5 4800 and 192 GiB of RAM
    • 13600 and better support DDR5 5600
    • 13500 and lower support DDR5 4800
  • Intel 600 and 700 chipset support with LGA 1700
    • Intel 600 Series chipsets require BIOS update to achieve support for Raptor Lake-S
  • First 6 GHz processor (13900KS)*

*By default, Core i9 13900KS achieves 6.0 GHz only when using Thermal Velocity Boost with sufficient power and cooling.

More information Processor branding, Model ...

14th generation

Raptor Lake Refresh

Raptor Lake Refresh is Intel's codename for the 14th generation of Intel Core processors. It is a refresh and based on the same architecture of the 13th generation with clock speeds of up to 6.2 GHz on the Core i9 14900KS, 6 GHz on the Core i9 14900K and 14900KF, 5.6 GHz on the Core i7 14700K and 14700KF, and 5.3 GHz on the Core i5 14600K and 13400KF as well as UHD Graphics 770 on non-F processors. They are still based on the Intel 7 process node.[113] Introduced on October 17, 2023, these CPUs are designed for the LGA 1700 socket, which allows for compatibility with 600 and 700 series motherboards.[114] It is the last generation CPUs to use the Intel Core i3, i5, i7 and i9 naming scheme as Intel announced that they will be dropping the "i" prefix for future Intel Core processors in 2023.[1]

The 14th generation CPU does not feature any major architectural changes over Raptor Lake, but does feature some minor improvements.[115] The 14th generation CPU was widely criticized[original research?] as a last-ditch effort to beat AMD's Zen 4 with 3D V-Cache[116][117] Intel's desktop version of the next generation architecture, Meteor Lake, was cancelled and the Arrow Lake architecture was not yet ready for release.[118]

In addition to the Raptor Lake-S Refresh desktop processors, Intel also launched 14th gen Raptor Lake-HX Refresh mobile processors in January 2024.[119]

CPUs in bold below feature ECC memory support only when paired with a motherboard based on the W680 chipset according to each respective Intel Ark product page.

More information Branding, Model ...
Remove ads

Core and Core Ultra 3/5/7/9 series

Summarize
Perspective

Starting with the Meteor Lake mobile series launched in December 2023 (with the exception of Raptor Lake-HX Refresh),[120] Intel introduced a new naming system for its new and upcoming processors. The numbers 3, 5, 7 and 9 which denote tiers are still used, but the letter 'i' is dropped, and there is a new "Core Ultra" sub-brand. Like AMD with their Ryzen 7000 mobile series and later processors, Intel now refreshes older architectures to be sold as more affordable mainstream processors while the latest architectures are released as "premium" products, under the Core Ultra brand.[121]

This new naming system also cuts the number of model number digits down from 4-5 to 3-4, e.g. Core 1xx series instead of Core 8xxx or 14xxx series.

Intel no longer refers to iterations of product series under "nth generation" anymore, instead using "Series n". Otherwise the latest series launched in December 2023 would be called 15th generation.[122]

Compared to the Core processors, the Core Ultra processors introduced more advanced AI technologies such as NPU.

Series 1

The Series 1 of Core processors consists of the Raptor Lake-U Refresh mobile series released January 2024 under the Core brand,[121] and the Meteor Lake-U/H mobile series released December 2023 under the Core Ultra brand.[120]

More information Model line, Codename ...

Meteor Lake

Meteor Lake is Intel's codename for the first generation of Intel Core Ultra mobile processors,[123] and was officially launched on December 14, 2023.[124] It is the first generation of Intel mobile processors to use a chiplet architecture which means that the processor is a multi-chip module.[123] Tim Wilson led the system on a chip development for this generation microprocessor.[125]

Process technology

Due to its Multi-Chip Module (MCM) construction, Meteor Lake can take advantage of different process nodes that are best suited to the use case. Meteor Lake is built using four different fabrication nodes, including both Intel's own nodes and external nodes outsourced to fabrication competitor TSMC. The "Intel 4" process used for the CPU tile is the first process node in which Intel is utilising extreme ultraviolet (EUV) lithography, which is necessary for creating nodes 7nm and smaller. The interposer base tile is fabricated on Intel's 22FFL, or "Intel 16", process.[126][127] The 22FFL Fin Field-Effect Transistor (FinFET) Low-power node, first announced in March 2017, was designed for inexpensive low power operation.[128] The interposer base tile is designed to connect tiles together and allow for die-to-die communication which does not require the most advanced, expensive nodes so an older, inexpensive node can be used instead.

More information Tile, Node ...
Mobile processors

Meteor Lake-H

155H, 165H, and 185H support P-core Turbo Boost 3.0 running at the same frequency as Turbo Boost 2.0.

More information Processor branding, Model ...
  1. Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Meteor Lake-U

The integrated GPU is branded as "Intel Graphics" but still use the same GPU microarchitecture as "Intel Arc Graphics" on the H series models.

All models support DDR5 memory except 134U and 164U.

More information Processor branding, Model ...
  1. Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher
Processors for Internet of Things (IoT) devices and embedded systems (Meteor Lake-PS)

High-power

155HL and 165HL support P-core Turbo Boost 3.0 running at the same frequency as Turbo Boost 2.0.

More information Processor branding, Model ...
  1. Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Low-power

The integrated GPU is branded as "Intel Graphics" but still use the same GPU microarchitecture as "Intel Arc Graphics" on the high-power models.

More information Processor branding, Model ...
  1. Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Series 2

Lunar Lake

Mobile processors
More information Processor branding, Model ...
  1. Only the P-cores can access this L3 cache[132]

Arrow Lake

Arrow Lake is Intel’s codename for the second generation Core Ultra processors. Announced on October 10, 2024, Arrow Lake is the first series of desktop Intel processors not to feature a monolithic design, instead adopting the chiplet design used on Meteor Lake.[133] Intel primarily markets this product as being on-par with Raptor Lake in performance whilst being much more power efficient.[134] The processors use the LGA 1851 socket with the 800 series chipset. It also represented a shift in branding, from the Intel Core 'i' series branding to the new 'Intel Core Ultra' branding for Intel's desktop processors.

Arrow Lake features multiple new architectural innovations over the previous generation Raptor Lake desktop processors, such as utilizing a chiplet-based 'tile' design, with the flagship 285K processor having six tiles, including a compute tile, SoC tile, graphics tile, I/O tile, and a filler tile.[135] All tiles are placed over an interposer base tile, packaged via Intel's Foveros Technology. Most of Arrow Lake is also built using TSMC's process nodes, except the base tile built using Intel's 22nm node.[136][137] Arrow Lake is also the first Intel desktop processor lineup to feature an NPU, with each processor containing an NPU capable of up to 13 trillion operations per second (TOPS).[138]

Arrow Lake released on October 24, 2024, to mixed reviews due to its lack of generational performance uplift or even performance regression in some cases.[139] Many reviewers also noticed that the processors had multiple bugs at launch, and inconsistent performance caused by certain BIOS configurations. Intel addressed this issue via a series of microcode and Windows updates released through December 2024 and January 2025, aiming to improve performance and to fix bugs with the platform, although some reviewers noticed no satisfactory gains, and sometimes even further regressions caused by the microcode update.[140][141]

In addition to the Core Ultra 200S Arrow Lake desktop processors, Intel also announced the Core Ultra 200H, Core Ultra 200HX, and Core Ultra 200U Arrow Lake processors for mobile at CES 2025.[142]

Desktop processors

Arrow Lake-S

More information Branding, Model ...
  1. Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher
Remove ads

Reception

Speculative execution CPU vulnerabilities

Transient execution CPU vulnerabilities are vulnerabilities in which instructions, most often optimized using speculative execution, are executed temporarily by a microprocessor, without committing their results due to a misprediction or error, resulting in leaking secret data to an unauthorized party. The archetype is Spectre, and transient execution attacks like Spectre belong to the cache-attack category, one of several categories of side-channel attacks. Since January 2018 many different cache-attack vulnerabilities have been identified.
Remove ads

See also

Notes

    References

    Loading related searches...

    Wikiwand - on

    Seamless Wikipedia browsing. On steroids.

    Remove ads